home *** CD-ROM | disk | FTP | other *** search
/ CD-ROM Now 11 / CD-ROM Now MegaDisc 11 (1995-02).iso / discs / internet / lsi4.txt < prev    next >
Text File  |  1994-10-26  |  34KB  |  692 lines

  1. Newsgroups: comp.lsi,comp.lsi.cad,news.answers,comp.answers
  2. Path: senator-bedfellow.mit.edu!bloom-beacon.mit.edu!spool.mu.edu!agate!news.ucdavis.edu!altarrib!hemlock
  3. From: altarrib@hemlock.ece.ucdavis.edu (Michael Altarriba)
  4. Subject: comp.lsi.cad Frequently Asked Questions With Answers (Part 4/4) [LONG]
  5. Message-ID: <lsi-cad-faq/part4_745887741@tyfon.eecs.ucdavis.edu>
  6. Followup-To: comp.lsi.cad
  7. Summary: This is a biweekly posting of frequently asked questions with answers 
  8.          the for comp.lsi / comp.lsi.cad newsgroups. It should be consulted 
  9.          before posting questions to comp.lsi or comp.lsi.cad.
  10. Keywords: FAQ
  11. Sender: usenet@ucdavis.edu (News Administrator)
  12. Supersedes: <lsi-cad-faq/part4_744999725@tyfon.eecs.ucdavis.edu>
  13. Reply-To: clcfaq@eecs.ucdavis.edu
  14. Organization: Department of Electrical and Computer Engineering, UC Davis
  15. References: <lsi-cad-faq/part3_745887741@tyfon.eecs.ucdavis.edu>
  16. Date: Fri, 20 Aug 1993 23:03:04 GMT
  17. Approved: news-answers-request@MIT.Edu
  18. Lines: 671
  19. Xref: senator-bedfellow.mit.edu comp.lsi:3072 comp.lsi.cad:3354 news.answers:11629 comp.answers:1677
  20.  
  21. Archive-name: lsi-cad-faq/part4
  22.  
  23.   a palette picture file that displays all 52 symbols in a compact grid
  24.   that you can cut and paste from within TeXcad. Each symbol lives in its
  25.   own .mac file and is defined as a 'savebox' so as to reduce memory con-
  26.   sumption. You must add the [bezier] option to your 'documentstyle' com-
  27.   mand. A small manual is provided in both Postscript and .dvi forms.
  28.  
  29.   The files lcircuit.zip and lcircuit.tar are available for anonymous ftp
  30.   from cscx.cs.rhbnc.ac.uk (134.219.200.45) in directory pub/lcircuit. I
  31.   will also be uploading them to various ftp servers in the coming week.
  32.  
  33. 46: Tanner Research Tools (Ledit and LVS)
  34.  
  35.   (From Bhusan Gupta <bgupta@micro.caltech.edu>)
  36.  
  37.   Low cost, yet very powerful commercial ASIC design tools are available
  38.   from Tanner Research, Inc. in Pasadena, CA.  These products are used by
  39.   industry and universities alike.  Tanner's products are nominally priced
  40.   at $995 per program, with a combined package named L-Edit Pro available
  41.   for $3,495 on the PC.  Universities are offered a 75% discount.  Here is
  42.   a list of their current programs:
  43.  
  44.   L-EditTM :      A full-custom layout editor with CIF and GDSII
  45.                   input/output.  Features a 32-bit coordinate space,
  46.                   all-angle geometry, unlimited hierarchy and number
  47.                   of layers.  The L-Edit Pro package includes L-Edit/DRC
  48.                   for design rule checking, L-Edit/SPR for automatic
  49.                   standard cell placement and routing, L-Edit/Extract
  50.                   for extracting transistors, capacitors, resistors and
  51.                   generic devices for SPICE-level simulation or comparison
  52.                   to a schematic and LVS ,a netlist comparison tool for
  53.                   topological and parametrical verification.  Optional
  54.                   layout libraries are also available.
  55.  
  56.   T-Spice:        Circuit level simulator (See item 41 for detail
  57.  
  58.   GateSimTM :     Gate-level simulator.  A full array of technology mapping
  59.                   libraries are also available.
  60.  
  61.   Products are available for the PC, Macintosh, Sun and Hp UNIX platforms.
  62.   For more information contact Bhushan Mudbhary at Tanner Research (bhushan
  63.   @ tanner.com), phone 818-792-3000 and fax 818-792-0300.
  64.  
  65. 47: SIMIC, a full-featured logic verification simulator.
  66.  
  67.   (From comp.archives.msdos.announce)
  68.  
  69.   SIMIC is a full-featured logic verification simulator.  It has been
  70.   demonstrated that SIMIC can uncover a number of critical design errors
  71.   that other simulators miss.  SIMIC has shown superior accuracy and
  72.   throughput when compared to competitive products.  Here are some of
  73.   SIMIC's important features:
  74.  
  75.   -  Mixed-mode simulation allows the free intermixture of true
  76.      bilateral switches (ideal and resistive), gate, plus functional level
  77.      built-in and user defined primitives.
  78.  
  79.   -  A wide variety of output, whose detail, content and format are, to
  80.      large extent, user defined.
  81.  
  82.   -  A large repetoire of simulation options and controls that can be
  83.      applied interactively, or in batch operation, and simplify
  84.      trouble-shooting of your design.
  85.  
  86.   -  Automated Test equipment emulation, allows debugging test programs
  87.      using SIMIC troubleshooting techniques.
  88.  
  89.   -  Sophisticated hazard analysis including:  Spike, Pulse, Conflict,
  90.      Oscillation, Setup, Hold, Pulse-width, Near (what-if)
  91.      detection, among others.  Hazard propagation is also supported.
  92.  
  93.   The student version of SIMIC is limited to a maximum of 500 elements
  94.   (parts).  In all other respects it is the same program as the commercial
  95.   offering.  The PC student version requires a 386 or better and at least 2
  96.   Meg of memory.  Both a DPMI and a VCPI version are included in the pack-
  97.   age.  Both versions require EMS *NOT* be disabled.  SIMIC is also avail-
  98.   able on Sun and other platforms.
  99.  
  100. 48: LASI CAD System, IC and device layout for IBM compatibles
  101.  
  102.   (from Mike Fitsimmons <mikef@hendrix.ece.uiuc.edu>)
  103.  
  104.   On behalf of the author I have uploaded to WSMR-SIMTEL20.Army.Mil:
  105.  
  106.   pd1:<msdos.cad> LASI41A.ZIP     LASI v4.1 IC layout CAD prgm: unzip in
  107.   LASI41B.ZIP     LASI v4.1 IC layout CAD prgm: unzip in LASI41C.ZIP
  108.   LASI v4.1 IC layout CAD prgm: unzip in LASIDEMO.ZIP    LASI v4.1 DEMO
  109.   drawing: unzip in
  110.  
  111.   The LASI CAD System has been developed to do integrated circuit and dev-
  112.   ice layout on almost any IBM compatable personal computer.
  113.  
  114.   LASIDEMO is a small IC layout to be used as a demonstration when first
  115.   learning to use LASI.
  116.  
  117.   I offered to pay the author for some sort of site license for this pro-
  118.   gram, but he refused, saying that he actually wants educational institu-
  119.   tions to use it for free.  What a guy!
  120.  
  121. 49: EEDRAW, an electrical/electronic diagramming tool for IBM compatibles
  122.  
  123.   (from <pcc@minster.york.ac.uk>)
  124.  
  125.   I have uploaded to WSMR-SIMTEL20.Army.Mil:
  126.  
  127.   pd1:<msdos.graphics> EEDRAW24.ZIP    Electrical Engineering drawing (with
  128.   layers)
  129.  
  130.   This is the 2.4 release of EEDRAW, an electrical/electronic diagramming
  131.   tool for the IBM PC.
  132.  
  133.   pd1:<msdos.graphics> EEDSRC24.ZIP    C sources for EEDRAW24.ZIP program.
  134.   TC/BC++
  135.  
  136.   This is the source of the EEdraw 2.4 program. Please read the readme file
  137.   in the primary archive for information on other source programs needed
  138.   such as the Libary files.
  139.  
  140. 50: MagiCAD, GaAs Gate Array Design through MOSIS
  141.  
  142.   (from Tom Smith <tsmith@mayo.edu>)
  143.  
  144.   The Mayo Graphical Integrated Computer Aided Design (MagiCAD) system is a
  145.   package which provides a comprehensive design environment for the
  146.   development of digital systems, from initial concept to post-layout
  147.   verification of integrated circuits (ICs).  MagiCAD focuses on the
  148.   development of high-speed Gallium Arsenide (GaAs) gate array designs.
  149.   Specialized electromagnetic simulation tools are provided to address high
  150.   clock rate issues such as crosstalk and reflections, which become more
  151.   important as clock rates exceed several hundred MHz or signal edge rates
  152.   become less than 500 pico- seconds. MagiCAD provides all the necessary
  153.   tools for high clock rate GaAs IC design, and is also integrated with
  154.   non-Mayo circuit, logic, and fault simulators.
  155.  
  156.   MagiCAD provides a lower risk approach than full-custom design for
  157.   universities wishing to perform digital GaAs design through MOSIS.  This
  158.   is done by providing a gate array design environment where low-level
  159.   transistor design and layout issues have already been solved and
  160.   abstracted into a technology library of pre-defined cells. This frees the
  161.   student or researcher to solve the still challenging tasks of system and
  162.   gate-level design and layout to get high clock rate chips fabricated
  163.   through MOSIS that meet all specifications.
  164.  
  165.   MagiCAD supports hierarchical, top-down, middle-out, or bottom-up
  166.   development styles. MagiCAD has been used in the design of many GaAs
  167.   chips that have been successfully fabricated. The MagiCAD electromagnetic
  168.   modeling tools have been used in the analysis of many actual packages,
  169.   multi-chip modules (MCMs), and printed circuit boards (PCBs), uncovering
  170.   and avoiding problems that are commonly associated with high-frequency,
  171.   fast edge-rate designs. The Vitesse Fury (TM) GaAs VSC2K gate array is
  172.   provided as a MagiCAD technology library, and has been used for both gra-
  173.   duate and undergraduate student chip designs.
  174.  
  175.   Functionality that has been integrated into MagiCAD includes:
  176.  
  177.             o  Vitesse VSC2K GaAs gate array technology library
  178.             o  Database which integrates all tools
  179.             o  Schematic entry through a general purpose graphics editor
  180.             o  Circuit simulator
  181.             o  Logic and timing simulators
  182.             o  Fault analysis
  183.             o  Place and route tools
  184.             o  Layout verification tools
  185.             o  Retargeting from generic design to specific technology
  186.             o  Output to standard GDSII format for mask creation
  187.             o  Electromagnetic analysis
  188.                -  Cross section entry with graphics editor
  189.                -  Multilayer multiconductor transmission line (MMTL) modeling
  190.                -  Network tool for solving cases with many transmission line
  191.                   components
  192.                -  Lossy and non-lossy cases
  193.                -  Frequency and time domain result displays
  194.                -  Used for analyzing complex design paths, through chip, MCM,
  195.                   and PCB
  196.  
  197.           The Vitesse VSC2K has the following characteristics:
  198.  
  199.             o  HGaAs-2 (TM) process                    o  2700 available gates
  200.             o  Enhancement/depletion MESFET process    o  80 signal pads
  201.             o  2 GHz flip-flop toggle rates            o  40 power, ground pads
  202.             o  280 psec loaded gate delays             o  2.4 watts maximum
  203.             o  170 mils x 135 mils                     o  ECL or TTL I/O
  204.             o  132 pin LDCC package available          o  2 routing layers
  205.  
  206.   The Mayo Foundation has used MagiCAD to design several VSC2K designs that
  207.   have been fabricated through both MOSIS and Vitesse. These designs have
  208.   measured operating frequencies of 500 MHz to 1 GHz, depending upon the
  209.   section of the circuit being tested.  The general application thrust of
  210.   these designs has been components which are being used to evaluate MCM
  211.   technologies for high speed systems, as well as high speed data genera-
  212.   tion and acquisition circuits.
  213.  
  214.   The University of Wisconsin - Milwaukee has used MagiCAD to design
  215.   several VSC2K designs that have been fabricated through MOSIS. These
  216.   designs have simulated clock rates from 100 MHz to 600 MHz. The general
  217.   application thrust of these designs has been components of digital signal
  218.   processors with medical image processing applications.  The descriptions
  219.   of these VSC2K personalizations that have been designed and fabricated
  220.   include:
  221.  
  222.             o  8-bit Booth's algorithm multiplier
  223.             o  4-bit arithmetic logic unit
  224.             o  8-bit combinatorial multiplier
  225.             o  24-bit carry look-ahead adder
  226.  
  227.   The Defense Advanced Research Projects Agency (DARPA) has authorized and
  228.   funded Mayo to supply MagiCAD to universities in the U.S. for research
  229.   and educational purposes. The direct cost to the universities for the
  230.   MagiCAD software itself is zero (although there may be costs for any
  231.   non-Mayo software that universities may want, as well as possible costs
  232.   to get the proper hardware/software platform).  Mayo-supplied MagiCAD
  233.   training and support costs to these institutions is funded by DARPA, and
  234.   is therefore free to the universities.
  235.  
  236.   While the MagiCAD tools are presently only available for VAX/VMS environ-
  237.   ments, work is presently underway to port MagiCAD to POSIX-compliant
  238.   platforms (POSIX is the IEEE "UNIX-like" portable operating system defin-
  239.   ition). First POSIX platforms presently planned to port to include DECs-
  240.   tations and HP workstations, likely availability of MagiCAD on these
  241.   platforms is second half of 1993.
  242.  
  243.   The general steps for a university to begin using MagiCAD for digital
  244.   GaAs gate array design include:
  245.     1) Contact Mayo Foundation to acquire MagiCAD software
  246.     2) Contact MOSIS to acquire general MOSIS information
  247.        and Vitesse-specific technology information.
  248.  
  249.   Point Of Contact For Acquiring MagiCAD And MagiCAD Support:
  250.  
  251.           Thomas J. Smith
  252.           Mayo Foundation
  253.           Special Purpose Processor Development Group
  254.           200 First St. S. W.
  255.           Rochester, Minnesota 55905
  256.           Telephone:  (507) 284-0840
  257.           Telefax:    (507) 284-9171
  258.           EMail:      tsmith@mayo.edu
  259.  
  260.           Point Of Contact For Acquiring General MOSIS Information
  261.                           And Vitesse-specific Technology Information:
  262.  
  263.           Sam Reynolds
  264.           The MOSIS Service
  265.           USC/ISI
  266.           4676 Admiralty Way
  267.           Marina del Rey, CA  90292-6695
  268.           Telephone:  (310) 822-1511 x172
  269.           Telefax:    (310) 823-5624
  270.           EMail:      sdreynolds@mosis.edu
  271.  
  272. 51: XSPICE, extended version of Spice
  273.  
  274.   (from Jeff Murray <jm67@hydra.gatech.edu>)
  275.  
  276.      I am one of the developers of XSPICE, and at the risk of being deluged
  277.   with requests for specific information on the tools, I can volunteer to
  278.   answer at least some questions. Currently there is no ftp site for infor-
  279.   mation; if there were, this posting would likely be unnecessary. However,
  280.   we are prohibited from posting even the User's Manual due to technology
  281.   export restrictions.
  282.  
  283.      The following is a copy of the original press release on XSPICE.  If
  284.   anyone would like additional clarification beyond this, or if some
  285.   aspects of the release are unclear, we can certainly take this as an
  286.   opportunity to remedy the situation. Please note that at the current time
  287.   there are many dozens of individuals who have obtained a copy of the
  288.   tools; if they have any comments or observations to make, I'm sure they
  289.   would be most welcome to other members of the user community.
  290.  
  291.                           XSPICE Press Release
  292.  
  293.                             January 2, 1993
  294.  
  295.                    Georgia Tech Research Corporation
  296.  
  297.   XSPICE, introduced at the 1992 International Symposium on Circuits and
  298.   Systems (ISCAS), is an extended and enhanced version of the popular SPICE
  299.   analog circuit simulation program originally developed at the University
  300.   of California at Berkeley. XSPICE was developed at the Georgia Tech
  301.   Research Institute (GTRI) as a tool for simulating circuits and systems
  302.   at multiple levels of abstraction. XSPICE permits a user to simulate ana-
  303.   log, digital, and even non-electronic designs from the circuit level
  304.   through the system level in a single simulator.  A special Code Modeling
  305.   feature allows users to add new models directly into the simulator exe-
  306.   cutable for maximum simulation speed and accuracy. Code models are writ-
  307.   ten in the C programming language allowing arbitrarily complex behavior
  308.   to be described. Code model development tools are provided to simplify
  309.   the process of creating new models, compiling them, and linking them with
  310.   the XSPICE core.
  311.  
  312.   XSPICE provides a rich set of predefined code models in addition to the
  313.   standard discrete device models available in SPICE. The XSPICE code model
  314.   library contains over 40 new functional blocks including summers, multi-
  315.   pliers, integrators, magnetics models, limiters, S-domain transfer func-
  316.   tions, digital gates, digital storage elements, and a generalized digital
  317.   state-machine.
  318.  
  319.   Digital functions are simulated in XSPICE through an embedded event-
  320.   driven algorithm added to the SPICE core. This algorithm is coordinated
  321.   with the analog simulation algorithm to provide fast and accurate simula-
  322.   tion of mixed-signal circuits and systems. The event-driven algorithm
  323.   supports a new "User-Defined Node" capability allowing additional event-
  324.   driven data types to be defined and used.  XSPICE comes with a 12-state
  325.   digital data type as well as a user-defined node library that includes
  326.   'real' and 'integer' types useful in simulating sampled-data systems such
  327.   as Digital Signal Processing algorithms.
  328.  
  329.   XSPICE is currently available for UNIX workstations and is supplied in
  330.   source code form allowing users to customize and extend the simulator and
  331.   models to particular needs. To date, the simulator has been successfully
  332.   compiled and used on HP Apollo and Sun workstations. The XSPICE simulator
  333.   and User's Manual are available with a cost-free license arrangement from
  334.   the Georgia Tech Research Corporation for a distribution charge of US
  335.   $200 (including first class postage within the U.S.A.; an additional US
  336.   $25 is required for overseas delivery by air). For further information,
  337.   please contact the Office of Technology Licensing, Georgia Tech Research
  338.   Corporation, Georgia Institute of Technology, 400 Tenth Street, Atlanta,
  339.   GA 30332-0415, USA, or phone (404) 894-6287 (voice) or (404) 894-9728
  340.   (FAX). Internet users may send email to XSPICE@GTRI.GATECH.EDU to obtain
  341.   copies of the order form and license agreement (please include the word
  342.   "license" in the subject header when mailing to this address).
  343.  
  344. 52: MISIM, a model-independent circuit simulation tool
  345.  
  346.   (from Bardo Muller <bardo@ief-paris-sud.fr>)
  347.  
  348.   University of Washington has recently released the updated MISIM simula-
  349.   tor.  The new release (Sun version) is now available through ftp with
  350.   anonymous login. The node address is 128.95.31.10. The release is under
  351.   /pub/misim.SUN.2.3.a. If you have any question, please don't hesitate to
  352.   contact us (misim_support@ee.washington.edu). Or, you can contact Prof.
  353.   Andrew Yang at 206-543-2932.
  354.  
  355.     Attention:
  356.     ---------
  357.  
  358.   We are currently re-writing the whole MISIM system in C with broader
  359.   design consideration. The noise and temperature simulation capability
  360.   will be incorporated into our next release. It would have more flexible
  361.   front end with better simulation performance.  The new version is
  362.   expected sometime around the end of this summer.  Since the actual
  363.   release no longer reflected the level of our technology, we removed it
  364.   from our ftp directory.
  365.  
  366.                                          MISIM Development Team
  367.                                          Department of Electrical Engineering
  368.                                          University of Washington
  369.  
  370.                       MISIM 2.3A Release:  General Information
  371.                      ------------------------------------------
  372.  
  373.   A) New capabilities:
  374.      ----------------
  375.  
  376.   MISIM 2.3A is distinguishable from the previous release in that is now
  377.   integrates a transistor-level mixed analog-digital simulator based on
  378.   analytical digital macromodeling. The mixed-signal simulator is equipped
  379.   with a front-end translator which accepts standard SPICE netlist syntax
  380.  
  381.   and converts it into MISIM mixed-mode syntax. Analytic macromodels for
  382.   digital subcircuits are generated and loaded into MISIM core simulator
  383.  
  384.   automatically. Synchronized simulation is then performed for the digital
  385.   subcircuits (processed by analytic solution) and the analog subcircuits
  386.   (processed by proven analog simulation algorithms) with much accelerated
  387.   speed and superior analog accuracy ( within 3-5 % of SPICE).
  388.  
  389.   The MISIM mixed-signal simulator supports all standard Berkeley MOS model
  390.   (Level 1, 2, 3, BSIM 1, BSIM 2). User-defined MOS models of arbitrary
  391.   complexity are also supported.
  392.  
  393.   Currently, the procedure of processing analytic digital macromodeling
  394.   cannot be applied to bipolar devices (G-P model). Hence, all bipolar
  395.   transistors will be simulated as "analog" components.
  396.  
  397.   MISIM's X-window graphic environment, WISE, has been upgraded to support
  398.   the mixed-signal simulation capabilities.
  399.  
  400.   B) Model Improvements:
  401.      ------------------
  402.  
  403.   MISIM 2.3A now supports improved SPICE models (MOS, Diode, BJT). Many of
  404.   the model discontinuities have been resolved leading to more reliable
  405.   simulation. The MOS Level 2 and Level 3 models have also been upgraded to
  406.   an improved charge-conserved models.  The standard SPICE diode model has
  407.   been enhanced to a non-quasi-static model capable of simulating accu-
  408.   rately the diode recovery effect.
  409.  
  410.   These improved SPICE models are released as linked models. Users are not
  411.   recommeded to unload these improved models.
  412.  
  413.   C) A New Parser:
  414.      ------------
  415.  
  416.   MISIM 2.3A incorporates a new netlist parser which supports two different
  417.   modes:
  418.  
  419.   1) Standard SPICE netlist syntax - default mode.  2) Enhanced SPICE net-
  420.   list syntax - MISIM mode.
  421.  
  422.   This new capability is designed to make MISIM completely spice-
  423.   compatible. In addition, the new parser now handles symbolic names and
  424.   expressions.
  425.  
  426.   D) Updated Documentations:
  427.      ----------------------
  428.  
  429.   An updated MISIM User's guide is available in postcript form. On-line
  430.   documentations is also provided.
  431.  
  432.   E) Future Release (MISIM 3.0):
  433.      --------------------------
  434.  
  435.   1) The next release will include a new C-version analog simulator which
  436.   has been benchmarked to be a factor of 2 to 3 times faster than the
  437.   current fortran version.
  438.  
  439.   2) The mixed-signal simulator will be enhanced to improve digital cover-
  440.   age rate (percentage of a mixed A/D circuit which can be processed by the
  441.   analytic digital macromodel) for better simulation performance.
  442.  
  443. 53: Nelsis Cad Framework
  444.  
  445.   (from their 'README' file)
  446.  
  447.   Release 4.3 is the latest version of the Nelsis IC Design System.  It
  448.   contains  a CAD framework that puts a substantial added-value under the
  449.   fingertips of the designer  by  organizing  the  design information  and
  450.   keeping  track  of  the  design  evolution.  It permits integration of
  451.   tools of  different  origin  and  achieves run-time  efficiency.   The
  452.   framework  is  based  on intelligent management of meta data on top of
  453.   the actual design descriptions; it administers high level information
  454.   about the design activities and the structure and status of the design,
  455.   rather than operating at the level of the detailed design descriptions.
  456.  
  457.   The  framework  services,  such  as  flow   management,   version manage-
  458.   ment,  concurrency  control and state management, have been implemented
  459.   on top of  the  meta  data  management  module.   The framework  controls
  460.   access to the design objects and administers meta data by performing
  461.   OTO-D queries.  Tools operate on  top  of the framework via the Data
  462.   Management Interface, obtaining access to the design data according to a
  463.   nested transaction schema.
  464.  
  465.   The Nelsis CAD Framework is available, together with a set of design
  466.   tools for demonstration purposes, through anonymous ftp from
  467.   dutente.et.tudelft.nl (130.161.144.6), in /pub/nelsis.
  468.  
  469. 54: APLAC, a system-level simulator and IEEE-488 measurement tool
  470.  
  471.   (from Sakari Aaltonen <sakari@picea.hut.fi>)
  472.  
  473.           -----------------------------------------
  474.                          APLAC 6.1
  475.           -----------------------------------------
  476.  
  477.   General information
  478.  
  479.   APLAC, a program for circuit simulation and analysis, is a joint develop-
  480.   ment of the Circuit Theory Lab of Helsinki University of Technology and
  481.   Nokia Corporation's Research Center. The main analysis modes are DC, AC,
  482.   noise, transient, oscillator, and (multitone harmonic) steady state.
  483.   APLAC can also be used for measurements with IEEE-488 apparatus.  APLAC's
  484.   transient analysis uses convolution for correct treatment of components
  485.   with frequency-dependent characteristics. Monte Carlo analysis is avail-
  486.   able in all basic analysis modes, as is sensitivity analysis in DC and AC
  487.   modes. N-port Z, Y, and S parameters, as well as two-port H parameters,
  488.   can be used in AC analysis. APLAC also includes a versatile collection of
  489.   system level blocks for the simulation and design of analog and digital
  490.   communication systems.
  491.  
  492.   Component models
  493.  
  494.   Too many to be listed here. In addition to familiar Spice models, a great
  495.   number of microwave components (microstrip/stripline) are included. Sys-
  496.   tem models include formula-based and discrete-time models useful in RF
  497.   design. The model parameters of the components may have any functional
  498.   dependency on frequency, time, temperature, or any other parameter. Users
  499.   can create new components by defining their - possibly nonlinear - static
  500.   and dynamic characteristics in APLAC's interpreter-type language. Spice-
  501.   syntax models can be imported.
  502.  
  503.   Input
  504.  
  505.   APLAC reads its input - the nodes, branches, and model parameters of the
  506.   components - from a text file. Model libraries can be created and
  507.   included. Expressions are written in a program-like manner; user func-
  508.   tions may be defined. Conditional and looping control structures are sup-
  509.   ported.
  510.  
  511.   Output
  512.  
  513.   The output results from one or several sweeps of any user-defined func-
  514.   tion of the circuit parameters, time, frequency, or temperature. The
  515.   results may be printed or plotted in rectangular or polar coordinates, or
  516.   on the Smith chart. Graphics output can be directed to an HPGL- or CSDF-
  517.   type file, or to a graphics file for later viewing.
  518.  
  519.   Optimization
  520.  
  521.   APLAC includes several optimization methods: gradient, conjugate gra-
  522.   dient, minmax, random, simulated annealing, tuning (manual optimization)
  523.   and gravity center (design centering). Any parameter in a design problem
  524.   can be used as a variable and any user-defined function may act as an
  525.   objective.
  526.  
  527.   Machine environment
  528.  
  529.   Unix: X11;  PC: MS-Windows (math coprocessor required).
  530.  
  531.           Contact information
  532.           -------------------
  533.           Martti Valtonen                         Heikki Rekonen
  534.           Helsinki University of Technology       Nokia Research Center
  535.           Circuit Theory Laboratory               Hardware Design Technology
  536.           Otakaari 5A, SF-02150 Espoo, FINLAND    P.O.Box 156, SF-02101 Espoo,
  537.                                                   FINLAND
  538.           Fax:  358-0-460224                      Tel:  358-0-43761
  539.           e-mail:martti@aplac.hut.fi              Fax:  358-0-455 2557
  540.  
  541.   Free (university version) binaries for HP9000/700, Sun4, and PC machines
  542.   are available via FTP from nic.funet.fi:/pub/cae/aplac. Help files, PS
  543.   manuals, and collections of APLAC examples are in the same directory.
  544.  
  545. 55: SLS, a switch-level simulator
  546.  
  547.   (from comp.lsi.cad)
  548.  
  549.   DELFT UNIVERSITY OFFERS UNIQUE SWITCH-LEVEL SIMULATOR
  550.  
  551.   SLS is a switch-level simulator that can be used to simulate the logic
  552.   and timing behavior of large digital circuits that are described at the
  553.   (mixed) MOS transistor, gate and functional level.  It has fast and accu-
  554.   rate algorithms to predict the timing behavior of MOS circuits containing
  555.   > 100,000 transistors.  MOS transistor-level circuit descriptions are
  556.   easily mixed with gate-level and functional-level circuit descriptions,
  557.   where the behavior of the latter are described in the C programming
  558.   language.  There is an X-window based user-interface to graphically edit
  559.   the input signals and to inspect the simulation output signals. The same
  560.   interface is used to alternatively simulate the circuit with the well-
  561.   known circuit simulator SPICE.  SLS has already been used by many people
  562.   at many different sites, and numerous chips have been designed with it.
  563.   SLS is now made available world-wide to serve as a useful design and
  564.   verification tool to the international design community.  Apart from
  565.   being used as a stand-alone tool, SLS can also be used as a part of the
  566.   popular design system for Sea-Of-Gates circuits OCEAN, or it can be con-
  567.   nected to the advanced Nelsis CAD framework.
  568.  
  569.   The SLS simulator has three different simulation levels:
  570.  
  571.   1. Purely logic simulation based on abstract transistor strengths:
  572.      This level more or less behaves similar to the original switch-level
  573.      model as proposed by R.E. Bryant.  It computes logic states by
  574.      only considering node states and transistor types.
  575.  
  576.   2. Logic simulation based on exact transistor dimensions and node
  577.      capacitances: This level uses resistance division and capacitance
  578.      division algorithms to compute logic states. It finds correct logic
  579.      states in much more situations than conventional switch-level
  580.      simulators, e.g. when a resistance division occurs between a saturated
  581.      transistor and a non-saturated transistor.
  582.  
  583.   3. Logic and timing simulation based on transistor and node parameters:
  584.      RC time constant evaluations are used to approximate real voltages by
  585.      PIECEWISE-LINEAR VOLTAGE WAVEFORMS. This not only provides delay times
  586.      for the circuit, but is also delivers an accurate representation for
  587.      transient effects like spikes and races.
  588.  
  589.   Apart from electrical network elements like MOS transistors, resistors
  590.   and capacitors, an SLS network may contain (i) gate primitives like
  591.   inverters, nands, nors, etc. and (ii) user-defined function blocks like
  592.   roms, shiftregisters, multipliers.  The behavior of function blocks is
  593.   described by the user in the C programming language: it is specified by
  594.   the user how the values of the output terminals and the state variables
  595.   are computed from the values of the input terminals and the state vari-
  596.   ables.
  597.  
  598.   For more information about SLS, see,
  599.  
  600.     "Switch-level timing simulation," P.M. Dewilde, A.J. van Genderen,
  601.     A.C. de Graaf, Proc. ICCAD 85 Conf., Santa Clara, Nov. 1985,
  602.     pp. 182-184
  603.  
  604.     "SLS: An Efficient Switch-Level Timing Simulator Using Min-Max Voltage
  605.     waveforms," A.J. van Genderen, Proc. VLSI 89 Conf., Munich, Aug. 1989,
  606.     pp. 79-88.
  607.  
  608.     "SLS: Switch-Level Simulator User's Manual," A.C. de Graaf, A.J. van
  609.     Genderen, Delft University of Technology (available for ftp at the
  610.     address below).
  611.  
  612.   Availability:
  613.  
  614.   SLS is written in C and runs under UNIX and X-windows.  It runs, among
  615.   other things, on Sun SPARC stations, HP 9000 series 700/800 machines, and
  616.   PCs running Linux.  The program is available for free under the terms of
  617.   the GNU General Public License.  It can be retrieved via anonymous ftp
  618.   from the directory pub/sls on dutentb.et.tudelft.nl.
  619.  
  620.   It is also possible to obtain SLS as a part of the OCEAN system for the
  621.   design of Sea-Of-Gates circuits.  This system can be obtained from the
  622.   directory pub/ocean on donau.et.tudelft.nl.  The OCEAN system among other
  623.   things contains a layout-to-circuit extractor that can extract large lay-
  624.   outs and that stores the result directly in the database that is read by
  625.   SLS.  Furthermore, SLS is available as a tool in the Nelsis CAD framework
  626.   from the directory pub/nelsis on dutente.et.tudelft.nl.  The latest ver-
  627.   sion of SLS can always be found on dutentb.et.tudelft.nl.
  628.  
  629.   For questions, remarks and bug reports, contact
  630.  
  631.     Arjan van Genderen
  632.     Delft University of Technology
  633.     Department of Electrical Engineering
  634.     Mekelweg 4                          phone: 31-15-786258
  635.     2628 CD  Delft                      fax: 31-15-623271
  636.     The Netherlands                     email: arjan@dutentb.et.tudelft.nl
  637.  
  638.   56: OCEAN, a sea-of-gates design system
  639.  
  640.   (from Patrick Groeneveld <ocean@donau.et.tudelft.nl>)
  641.  
  642.           About OCEAN: the sea-of-gates design system
  643.           -------------------------------------------
  644.  
  645.   OCEAN is a comprehensive chip design package which was developed at Delft
  646.   University of Technology, the Netherlands. It includes a full set of
  647.   powerful tools for the synthesis and verification of semi-custom sea-of-
  648.   gates and gate-array chips.  OCEAN covers the back-end of the design tra-
  649.   jectory: from circuit level, down to layout and a working chip. In a nut-
  650.   shell, OCEAN has the following features:
  651.  
  652.           + Available for free, including all source code.
  653.           + Short learning curve making it suitable for student design courses.
  654.           + Hierarchical (full-custom-like) layout style on sea-of-gates.
  655.           + Powerful tools for placement, routing, simulation and extraction.
  656.           + Any combination of automatic and interactive manual layout.
  657.           + OCEAN can handle even the largest designs.
  658.           + Running on popular HP, Sun and 386/486 PC machines, easy
  659.             installation.
  660.           + Includes three sea-of-gates images with libraries and a
  661.             200,000 transistor sea-of-gates chip.
  662.           + Can be easily adapted to arbitrary images with any number of layers.
  663.           + Interface programs for other tools and systems (SIS, cadence, etc.)
  664.           + Robust and 'combat-proven', used by hundreds of people.
  665.  
  666.    How to retrieve OCEAN and additional documentation?
  667.    ---------------------------------------------------
  668.  
  669.   The entire OCEAN system is available for free via anonymous ftp, gopher
  670.   or on tape. A powerful installation script is included, so you can get
  671.   started very quickly without hacking up the code. You can retrieve OCEAN
  672.   and additional documentation via:
  673.  
  674.           anonymous ftp: donau.et.tudelft.nl -  directory pub/ocean
  675.           gopher:        olt.et.tudelft.nl (port 70) or use the path
  676.                          World --> Europe --> Netherlands -->
  677.                          Delft University of Technology Electronic Engineering
  678.                          --> Research activities -->
  679.                          The OCEAN sea-of-gates Design System
  680.  
  681.   We advise to retrieve first the documents with the user manual. (The file
  682.   'ocean_docs.tar.gz').  If you have any questions, remarks or problems,
  683.   just contact us:
  684.  
  685.           Patrick Groeneveld or Paul Stravers
  686.           Electronic Engineering Group, Electrical Engineering Faculty
  687.           Delft University of Technology
  688.           Mekelweg 4, 2628 CD   Delft  The Netherlands
  689.           Phone: +31-15786240  Fax: +31-15786190
  690.           Email: ocean@donau.et.tudelft.nl
  691.  
  692.